FPGA中如何用VHDL语言,或verilog语言读图像(bmp)?

 作者:UPS电源    |      2024-02-29 23:04    |    标签: vhdl 中如 Verilog FPGA 图像 何用 语言 bmp

  FPGA 中,要读取的数据一定是以二进制存在 RAM 或 ROM中的,所谓FPGA读取数据,读出的都是2进制数,无所谓数据的原来格式,不管是BMP或者JPEG,读出来都是一个一个的2进制数。至于这些二进制编码代表什么意思,和FPGA无关。

  

FPGA中如何用VHDL语言,或verilog语言读图像(bmp)?

  

FPGA中如何用VHDL语言,或verilog语言读图像(bmp)?